CPUs

Intel’s 18A Clearwater Forest CPUs Already in Fabs, 16th Gen Panther Lake Launch in 2025

Intel CEO Pat Gelsinger confirmed that the 16th Gen Panther Lake CPUs will launch in 2025. These chips will leverage the 18A process

Intel’s IDM 2.0 plans are going better than expected. The December launch of the Core Ultra “Meteor Lake” processors marked the roll-out of its 4nm-class (Intel 4) chips. The 15th Gen Arrow Lake lineup is on track to launch later this year, debuting the 20A node, which integrates backside power delivery, a first for the industry. If that weren’t enough, the first 18A product, Clearwater Forest, is already in fab, to be followed by Panther Lake shortly.

We’ve known for some time that the Arrow Lake processors (20A) will launch (in some form) in the second half of 2024. Intel CEO Pat Gelsinger confirmed that the 16th Gen Panther Lake CPUs will launch in 2025. These chips will leverage the 18A process, the most advanced (at the time).

We are first in the industry to have incorporated both gate-all-around and backside power delivery in a single process node, the latter unexpected two years ahead of our competition. Arrow Lake, our lead Intel 20A vehicle will launch this year. Intel 18A is expected to achieve manufacturing readiness in second half ’24, completing our five nodes in four year journey and bringing us back to process leadership. I am pleased to say that Clearwater Forest, our first Intel 18A part for servers has already gone into fab and Panther Lake for clients will be heading into Fab shortly.

But with respect to the manufacturing, I’ll just say, hey, we look at this every single day and we’re scrutinizing carefully our progress on 18A. And obviously the great news that we just described those Clearwater Forest taping out, that gives us a lot of confidence that 18A is healthy. That’s a major product for us. Panther Lake following that shortly.

The Core Ultra platform delivers leadership AI performance today with our next-generation platforms launching later this year, Lunar Lake and Arrow Lake tripling our AI performance. In 2025 with Panther Lake, we will grow AI performance up to an additional 2x.

Pat Gelsinger, Intel CEO

Intel learned quite a bit from the 10nm debacle and is taking steps to make the transition to the 20A/18A node seamless. The switch to EUV (Extreme Ultraviolet Litho) was made with the 4nm-class node powering Meteor Lake. Backside power has been tested on an internal node, with Gelsinger claiming that many, many Intel 3 wafers were run with backside power to de-risk the technology.

So first was the move into EUV. We began that with Intel 4 and Intel 3. And those, as we said, are high volume manufacturing underway done. So, we sort of took the risk of EUV off the table there. Backside power, right, we ran an internal node, something we didn’t disclose to external foundry customers.

But we ran, many, many wafers using Intel 3, with backside power to go de-risk backside power, before we put it into Intel 20A and Intel 18A. So, we had a major step to de-risk backside power. And then of course, gate all around the transistor. So 18A brings those two together, backside power and the gate all around transistor. But I’ll tell you, as we’ve been going through the development process.

Backside power on 18A has been elegant, beautiful, high yield, very clean in its introduction into the process. And really the focus has been on the new transistor structure with gate all around. As customers are taking advantage of that now, as they’re starting to look at that, they’re really seeing great benefits from backside power. In some cases, almost as much performance benefit and significant area benefit from that.

And gate all around transistors making good progress are 0.9 PDK that we delivered in Q4. And we’ll be having the 1.0 PDK in Q2 on track. And as I said, Clearwater Forest is the first product. And it’s now in fab on 18A, a huge milestone for us, both on the product side, as well as on the process side. High-NA, the next generation of EUV is not part of 18A, right? That will be part of the next major node.

Pat Gelsinger, Intel CEO

According to the Intel CEO, PowerVia has had a clean, high-yield introduction into the chipmaker’s existing process nodes. The RibbonFET (Gate All Around) transistors are on track for 1.0 PDK in Q2 2024. It’ll be introduced with the 18A Clearwater Forest in the second half of 2025.

Via: SeekingAlpha.

Areej Syed

Processors, PC gaming, and the past. I have written about computer hardware for over seven years with over 5000 published articles. I started during engineering college and haven't stopped since. On the side, I play RPGs like Baldur's Gate, Dragon Age, Mass Effect, Divinity, and Fallout. Contact: areejs12@hardwaretimes.com.
Back to top button