CPUs

Intel 14th Gen “Meteor Lake” 4nm Node Allegedly on Par with TSMC’s 3nm Process

Intel’s first EUV node is set to debut later this year with its 14th Gen Meteor Lake processors. Previously known as its 7nm node, the Intel 4 process was renamed to more appropriately highlight its performance against rival TSMC and Samsung offerings. Unlike its predecessor, it will primarily be used for the Meteor Lake Client family and select ASICs. In the past, Intel’s foundry has stumbled hard against deadlines, and many rumors claim that history will repeat itself with the 4nm-class process.

Putting aside speculations of a delay, Intel has assured investors that its 4nm (Intel 4) node is on track for mass production later this year. William Grimm, VP and Director of Intel’s Logic Technology and Development Product Engineering, said as much in a chat with media personnel in Penang, Malaysia, on the 22nd of this month.

Grimm explained that with EUV (Extreme Ultraviolet Litho), it is possible to control the complexity of the process, allowing for higher yields than previously expected. The Intel 4 process is the first node from the chipmaker to leverage EUV lithography. TSMC adopted the same with its 7nm class nodes several years back.

The 14th Gen Meteor Lake processors leverage a heterogenous design with separately manufactured CPU and iGPU dies. The former will be fabbed on the Intel 4 process, while TSMC will fab the latter on its 5nm “N5” process. It’s the first time a third-party fab will partly fab a major client lineup from Team Blue.

IC Knowledge, a firm specializing in reverse engineering, has analyzed the performance data of the Intel 4 node, concluding that it is superior to TSMC’s 5nm node and more in line with its upcoming 3nm process. The former allegedly has a transistor density higher than TSMC and Samsung’s 3nm processes.

[adinserter block=”1″]

With EUV, we can control process complexity. We succeeded in securing a higher yield than expected. We performed our own PPA with reference to external benchmarks. It is difficult to compare Intel 4 with existing nodes from other foundries. If the Intel 7 process is a process that focuses on maximizing performance, Intel 4 has focused on increasing power efficiency. Finally, he said, EUV production capacity has been secured enough to meet market demand and plans for the next few years, such as Intel 3, have been established.

William Grimm, Intel VP

According to Grimm, the Intel 4 node focuses on power efficiency, while its predecessor maximized performance (Tic-Toc). Furthermore, enough production capacity has been secured to meet the market demand, and plans for the succeeding nodes (Intel 3, 20A) have been drafted. Intel 3 has been designed with HPC and data center processors in mind using high-performance libraries.

Via: The Elec

Areej Syed

Processors, PC gaming, and the past. I have written about computer hardware for over seven years with over 5000 published articles. I started during engineering college and haven't stopped since. On the side, I play RPGs like Baldur's Gate, Dragon Age, Mass Effect, Divinity, and Fallout. Contact: areejs12@hardwaretimes.com.
Back to top button